From 714cfa65d6f9e821e1e7c247ad81cb43a9a6da95 Mon Sep 17 00:00:00 2001 From: rs <> Date: Wed, 1 Oct 2025 19:02:29 -0500 Subject: [PATCH] Clean up unused signals in UART --- libraries/rs232/rs232_uart_opt.vhd | 7 +------ libraries/utility/fifo16.vhd | 1 - 2 files changed, 1 insertion(+), 7 deletions(-) diff --git a/libraries/rs232/rs232_uart_opt.vhd b/libraries/rs232/rs232_uart_opt.vhd index 0ad215a..1270912 100644 --- a/libraries/rs232/rs232_uart_opt.vhd +++ b/libraries/rs232/rs232_uart_opt.vhd @@ -170,8 +170,6 @@ architecture behavioral of rs232_uart_opt is -- Tx signals signal txqh_stb: std_logic; - signal txqh_ack: std_logic; - --signal txqh_dat: std_logic; -- Comes from dat_i signal txqt_stb: std_logic; signal txqt_ack: std_logic; signal txqt_dat: std_logic_vector(7 downto 0); @@ -183,9 +181,6 @@ architecture behavioral of rs232_uart_opt is signal tx_internal: std_logic; -- Rx signals - signal rxqh_stb: std_logic; - signal rxqh_ack: std_logic; - signal rxqh_dat: std_logic_vector(7 downto 0); signal rxqt_stb: std_logic; signal rxqt_ack: std_logic; signal rxqt_dat: std_logic_vector(7 downto 0); @@ -362,7 +357,7 @@ begin clk_i => clk_i, h_stb_i => txqh_stb, - h_ack_o => txqh_ack, + h_ack_o => open, h_dat_i => dat_i, t_stb_o => txqt_stb, diff --git a/libraries/utility/fifo16.vhd b/libraries/utility/fifo16.vhd index 8eea1bb..9cb0f12 100644 --- a/libraries/utility/fifo16.vhd +++ b/libraries/utility/fifo16.vhd @@ -35,7 +35,6 @@ architecture behavioral of fifo_16 is type data_array_t is array(natural range <>) of std_logic_vector(WIDTH-1 downto 0); signal data_array_reg: data_array_t(15 downto 0); - signal data_array_shift: std_logic; signal index_reg: unsigned(4 downto 0); signal index_up: std_logic; -- 2.43.0