--------------------------------------------------------------------------------
+-- ps2_host - Host PS2 (keyboard/mouse) interface
+--------------------------------------------------------------------------------
-- Notes:
--
-- The interface to the system is Wishbone-like - ACK and DAT signals are only
--------------------------------------------------------------------------------
+-- ps2_host_wb - Wishbone interface to host PS2 (keyboard/mouse) interface
+--------------------------------------------------------------------------------
-- TODO: https://eecs.umich.edu/courses/doing_dsp/handout/SRL16E.pdf
-- Play with using shift-registers to make this more dense
--
--
-- FIXME: Missing OER signal (probably framing and parity errors too)
--------------------------------------------------------------------------------
+-- WISHBONE DATASHEET
+--
+-- Wishbone specification used: Rev B.3
+-- Interface type: device
+-- Port size: 8-bit
+-- Operand sizes: 8-bit
+-- Endianness: undefined (port size same as granularity)
+-- Data transfer sequence: undefined
+-- Clock constraints: none
+-- Signals:
+-- * rst_i
+-- * clk_i
+-- * cyc_i
+-- * stb_i
+-- * we_i
+-- * ack_o
+-- * adr_i (3-bit)
+-- * dat_i (8-bit)
+-- * dat_o (8-bit)
+--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
+--------------------------------------------------------------------------------
+-- rs232_rx - receiver for RS232 serial interface
+--------------------------------------------------------------------------------
+
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
+--------------------------------------------------------------------------------
+-- rs232_tx - transmitter for RS232 serial interface
+--------------------------------------------------------------------------------
+
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--------------------------------------------------------------------------------
+-- rs232_uart - Wishbone UART for RS232 serial interface
+--------------------------------------------------------------------------------
-- TODO: https://eecs.umich.edu/courses/doing_dsp/handout/SRL16E.pdf
-- Play with using shift-registers to make this more dense
--