-- Tx signals
signal txqh_stb: std_logic;
- signal txqh_ack: std_logic;
- --signal txqh_dat: std_logic; -- Comes from dat_i
signal txqt_stb: std_logic;
signal txqt_ack: std_logic;
signal txqt_dat: std_logic_vector(7 downto 0);
signal tx_internal: std_logic;
-- Rx signals
- signal rxqh_stb: std_logic;
- signal rxqh_ack: std_logic;
- signal rxqh_dat: std_logic_vector(7 downto 0);
signal rxqt_stb: std_logic;
signal rxqt_ack: std_logic;
signal rxqt_dat: std_logic_vector(7 downto 0);
clk_i => clk_i,
h_stb_i => txqh_stb,
- h_ack_o => txqh_ack,
+ h_ack_o => open,
h_dat_i => dat_i,
t_stb_o => txqt_stb,
type data_array_t is array(natural range <>) of std_logic_vector(WIDTH-1 downto 0);
signal data_array_reg: data_array_t(15 downto 0);
- signal data_array_shift: std_logic;
signal index_reg: unsigned(4 downto 0);
signal index_up: std_logic;